欢迎来到Wabc (wabc.cc)

ADDER

价格: ¥ 9 金币可抵¥ 9

最后更新: 2019-12-23 19:21:21

演示地址:

指导费: 免费

分类
参数1
参数2
参数3
参数4
参数5
参数6
大小
1 MB
发货方式
自动发货
下载方式
远程下载
1
1
1
备注说明

所属分类中间件编程
开发工具:VHDL
文件大小:272KB
下载次数:8
上传日期:2009-05-27 15:10:34
说明:  本设计是用32位的并行全加器的,可以实现浮点运算!
(The design is a parallel 32-bit full adder, and floating-point operations can be achieved!)

文件列表
ADDER
.....\ADDER
.....\.....\ADDER.asm.rpt
.....\.....\ADDER.bsf
.....\.....\ADDER.done
.....\.....\ADDER.fit.rpt
.....\.....\ADDER.fit.smsg
.....\.....\ADDER.fit.summary
.....\.....\ADDER.flow.rpt
.....\.....\ADDER.map.rpt
.....\.....\ADDER.map.summary
.....\.....\ADDER.pin
.....\.....\ADDER.pof
.....\.....\ADDER.qpf
.....\.....\ADDER.qsf
.....\.....\ADDER.qws
.....\.....\ADDER.sim.rpt
.....\.....\ADDER.sof
.....\.....\ADDER.tan.rpt
.....\.....\ADDER.tan.summary
.....\.....\ADDER.vhd
.....\.....\ADDER.vhd.bak
.....\.....\ADDER.vwf
.....\.....\db
.....\.....\..\ADDER.asm.qmsg
.....\.....\..\ADDER.cbx.xml
.....\.....\..\ADDER.cmp.bpm
.....\.....\..\ADDER.cmp.cdb
.....\.....\..\ADDER.cmp.ecobp
.....\.....\..\ADDER.cmp.hdb
.....\.....\..\ADDER.cmp.logdb
.....\.....\..\ADDER.cmp.rdb
.....\.....\..\ADDER.cmp.tdb
.....\.....\..\ADDER.cmp0.ddb
.....\.....\..\ADDER.db_info
.....\.....\..\ADDER.eco.cdb
.....\.....\..\ADDER.eds_overflow
.....\.....\..\ADDER.fit.qmsg
.....\.....\..\ADDER.hier_info
.....\.....\..\ADDER.hif
.....\.....\..\ADDER.map.bpm
.....\.....\..\ADDER.map.cdb
.....\.....\..\ADDER.map.ecobp
.....\.....\..\ADDER.map.hdb
.....\.....\..\ADDER.map.logdb
.....\.....\..\ADDER.map.qmsg
.....\.....\..\ADDER.map_bb.cdb
.....\.....\..\ADDER.map_bb.hdb
.....\.....\..\ADDER.map_bb.hdbx
.....\.....\..\ADDER.map_bb.logdb
.....\.....\..\ADDER.pre_map.cdb
.....\.....\..\ADDER.pre_map.hdb
.....\.....\..\ADDER.psp
.....\.....\..\ADDER.root_partition.cmp.atm
.....\.....\..\ADDER.root_partition.cmp.dfp
.....\.....\..\ADDER.root_partition.cmp.hdbx
.....\.....\..\ADDER.root_partition.cmp.logdb
.....\.....\..\ADDER.root_partition.cmp.rcf
.....\.....\..\ADDER.root_partition.map.atm
.....\.....\..\ADDER.root_partition.map.hdbx
.....\.....\..\ADDER.root_partition.map.info
.....\.....\..\ADDER.rpp.qmsg
.....\.....\..\ADDER.rtlv.hdb
.....\.....\..\ADDER.rtlv_sg.cdb
.....\.....\..\ADDER.rtlv_sg_swap.cdb
.....\.....\..\ADDER.sgate.rvd
.....\.....\..\ADDER.sgate_sm.rvd
.....\.....\..\ADDER.sgdiff.cdb
.....\.....\..\ADDER.sgdiff.hdb
.....\.....\..\ADDER.signalprobe.cdb
.....\.....\..\ADDER.sim.cvwf
.....\.....\..\ADDER.sim.hdb
.....\.....\..\ADDER.sim.qmsg
.....\.....\..\ADDER.sim.rdb
.....\.....\..\ADDER.sld_design_entry.sci
.....\.....\..\ADDER.sld_design_entry_dsc.sci
.....\.....\..\ADDER.syn_hier_info
.....\.....\..\ADDER.tan.qmsg
.....\.....\..\ADDER.tis_db_list.ddb
.....\.....\..\ADDER.tmw_info
.....\.....\..\prev_cmp_ADDER.asm.qmsg
.....\.....\..\prev_cmp_ADDER.fit.qmsg
.....\.....\..\prev_cmp_ADDER.map.qmsg
.....\.....\..\prev_cmp_ADDER.qmsg
.....\.....\..\prev_cmp_ADDER.tan.qmsg
.....\.....\..\wed.wsf

0 (0%)

好评

0 (0%)

中评

0 (0%)

差评

  • 在线客服

    点击这里给我发消息

    官方微信

    仅处理投诉、举报及平台使用问题;
    商品问题请咨询商家客服!

浏览记录