欢迎来到Wabc (wabc.cc)

VHDL99examples

价格: ¥ 9 金币可抵¥ 9

最后更新: 2019-12-23 19:23:09

演示地址:

指导费: 免费

分类
参数1
参数2
参数3
参数4
参数5
参数6
大小
1 MB
发货方式
自动发货
下载方式
远程下载
1
1
1
备注说明

所属分类中间件编程
开发工具:Others
文件大小:496KB
下载次数:1
上传日期:2008-11-27 17:52:33
说明:  详细介绍了入门级的99个VHDL源程序,并有程序的详细说明,能够帮助提高VHDL语言的基本功。
(Details of the 99 entry-level VHDL source code, as well as a detailed description of procedures to help improve the basic skills VHDL language.)

文件列表
VHDL语言100例
.............\100vhdl例子
.............\...........\10_function
.............\...........\...........\10_bit_to_int.vhd
.............\...........\...........\README.TXT
.............\...........\11_wiredor
.............\...........\..........\11_wiredor.vhd
.............\...........\..........\README.TXT
.............\...........\12_convert
.............\...........\..........\12_convert.vhd
.............\...........\..........\README.TXT
.............\...........\13_SHL
.............\...........\......\13_SHL.VHD
.............\...........\......\README.TXT
.............\...........\14_MVL7_functions
.............\...........\.................\14_MVL7_functions.vhd
.............\...........\.................\README.TXT
.............\...........\15_MUX41
.............\...........\........\15_MUX41.VHD
.............\...........\........\15_MVL7_functions.vhd
.............\...........\........\15_MVL7_syn_types.vhd
.............\...........\........\15_test_vectors_mux41.vhd
.............\...........\........\15_TYPES.VHD
.............\...........\........\README.TXT
.............\...........\16_MUX
.............\...........\......\16_multiple_mux.vhd
.............\...........\......\16_MVL7_functions.vhd
.............\...........\......\16_test_vectors.vhd
.............\...........\......\16_TYPES.VHD
.............\...........\......\README.TXT
.............\...........\......\TYPES.VHD
.............\...........\17_parity
.............\...........\.........\17_parity.vhd
.............\...........\.........\17_test_bench.vhd
.............\...........\.........\README.TXT
.............\...........\18_LIB
.............\...........\......\18_tech_lib.vhd
.............\...........\......\18_test_lib.vhd
.............\...........\......\README.TXT
.............\...........\19_test_194
.............\...........\...........\19_test_194.vhd
.............\...........\1_ADDER
.............\...........\.......\1_ADDER
.............\...........\.......\.......\1_ADDER.exp
.............\...........\.......\.......\files
.............\...........\.......\.......\.....\L1.rpt
.............\...........\.......\.......\.....\L2.rpt
.............\...........\.......\.......\.....\L3.rpt
.............\...........\.......\.......\workdirs
.............\...........\.......\.......\........\aa
.............\...........\.......\.......\........\..\ADDER.sim
.............\...........\.......\.......\........\..\ADDER.syn
.............\...........\.......\.......\........\..\Anal.info
.............\...........\.......\.......\........\..\Anal.out
.............\...........\.......\.......\........\WORK
.............\...........\.......\.......\........\....\Anal.info
.............\...........\.......\.......\........\....\Anal.out
.............\...........\.......\.......\........\....\BIT_RTL_ADDER.sim
.............\...........\.......\.......\........\....\BIT_RTL_ADDER.syn
.............\...........\.......\1_adder.acf
.............\...........\.......\1_adder.hif
.............\...........\.......\1_adder.mmf
.............\...........\.......\1_ADDER.vhd
.............\...........\.......\bir_rtl_adder.acf
.............\...........\.......\bir_rtl_adder.hif
.............\...........\.......\bir_rtl_adder.mmf
.............\...........\.......\bir_rtl_adder.tdf
.............\...........\.......\bit_rtl_adder.acf
.............\...........\.......\bit_rtl_adder.hif
.............\...........\.......\bit_rtl_adder.mmf
.............\...........\.......\bit_rtl_adder.vhd
.............\...........\.......\LIB.DLS
.............\...........\.......\README.TXT
.............\...........\.......\transcript
.............\...........\.......\U2268397.DLS
.............\...........\20_test_159
.............\...........\...........\20_test_159.vhd
.............\...........\21_test_13a
.............\...........\...........\21_test_13a.vhd
.............\...........\22_deadlock
.............\...........\...........\22_deadlock.vhd
.............\...........\23_test_120
.............\...........\...........\23_Test_120.vhd
.............\...........\24_test_195
.............\...........\...........\24_test_195.vhd
.............\...........\25_test_1
.............\...........\.........\25_test_1.vhd
.............\...........\.........\25_test_1a.vhd
.............\...........\26_test_74s
.............\...........\...........\26_test_74s.vhd
.............\...........\27_test_16
.............\...........\..........\27_test_16.vhd
.............\...........\28_test_64a
.............\...........\...........\28_Test_64a.vhd
.............\...........\29_test_35
.............\...........\..........\29_Test_35.vhd
.............\...........\2_ADDER
.............\...........\.......\2_ADDER.VHD
.............\...........\.......\README.TXT
.............\...........\30_test_3

0 (0%)

好评

0 (0%)

中评

0 (0%)

差评

  • 会员中心
  • 浏览记录
  • 我的订单
  • 我的收藏
  • 在线客服

    点击这里给我发消息 点击这里给我发消息 点击这里给我发消息 点击这里给我发消息

    官方微信

    仅处理投诉、举报及平台使用问题;
    商品问题请咨询商家客服!

  • 意见反馈
  • 返回顶部
浏览记录